[PPL-devel] failed s390x build of ppl 1:1.0-3

Roberto Bagnara bagnara at cs.unipr.it
Fri Feb 1 07:33:41 CET 2013


I see there is now a segmentation fault running one
of the tests about recovery from out-of-memory conditions:

   https://buildd.debian.org/fetch.cgi?pkg=ppl&arch=s390x&ver=1%3A1.0-3&stamp=1359686851&file=log

Would it be possible to obtain temporary access
to a similar machine?
Thanks,

     Roberto

--
      Prof. Roberto Bagnara

Applied Formal Methods Laboratory - University of Parma, Italy
mailto:bagnara at cs.unipr.it
                               BUGSENG srl - http://bugseng.com
                               mailto:roberto.bagnara at bugseng.com


More information about the PPL-devel mailing list